OpenCPI Platform Project

Built-in OpenCPI project that contains assets useful for OpenCPI platform development, including reference platforms and support for generic devices.

Criteria for content to exist in this OpenCPI project is as follows:

  • specs/:

    • Component specs must facilitate generic device worker or platform support.

  • hdl/primitives/:

    • HDL primitives must directly support assets within hdl/devices, hdl/cards, or hdl/platforms, or be useful and used by devices in OSPs.

  • hdl/devices/:

    • Generic device workers belong here.

    • RF ADC/DAC command/control: HDL device proxies must implement the latest-generation drc component.

    • ADC/DAC data flow: de-interleaving/interleaving HDL subdevice workers must support the latest-generation ADC/DAC data flow paradigm HDL device workers data_src_qadc.hdl and data_sink_qdac.hdl.

  • hdl/cards/:

    • Reusable card specs belong here.

    • Card-specific HDL device workers for reusable cards belong here.

  • hdl/platforms/:

    • Current reference platforms (relatively inexpensive and supported with high priority with as many software and hardware options as possible) exist here. For example, zed, ultrascale/ZCU104, etc.

    • Non-reference platforms (“full-fledged OSPs”) belong in their own, separate, OpenCPI projects.